Physical Design Engineer

job
  • Intelliswift - An LTTS Company
Job Summary
Location
Hayward ,CA
Job Type
Contract
Visa
Any Valid Visa
Salary
PayRate
Qualification
BCA
Experience
2Years - 10Years
Posted
03 Feb 2025
Share
Job Description

ASIC Physical Design Engineer

Full Time

Sunnyvale, California or Austin, Texas - Onsite

Note: No hybrid or remote


Job Description & Skill Requirement

The role requires individuals with experience in backend implementation from Netlist to GDSII in low power and high-performance designs to build efficient System on Chip (SoC) and IP for data center applications.

  1. Block level floorplanning and physical design activities for one or more blocks.
  2. Block level physical design includes floorplan, power plan, placement, CTS, timing analysis, and route optimization.
  3. Signoff timing and physical verification closure.
  4. As part of the block level implementation, you will need to ensure the floorplan is optimal, congestion issues are resolved, and timing is under control at every stage from synthesis, placement, CTS, and route stages.
  5. Signoff tasks include Timing closure with crosstalk and OCV under Multi-Mode
  6. Multi-corner conditions, Noise signoff, Physical verification including LVS, DRC, Antenna, and IR closure.
  7. Flow development/automation


Qualification

  1. Minimum Qualifications
  2. Hands-on tape-out experience performing timing and physical verification closure on 5nm FinFET TSMC process or similar/lower technology nodes
  3. Hands-on experience with block level physical design (Floorplanning to GDSII)
  4. Experience with SoC level integration (multiple blocks, SoC floorplan, clocking, and timing analysis) preferred
  5. Expertise in Cadence (Innovus) P&R, Synopsys PrimeTime/StarRC/ICV, Ansys Redhawk, and Mentor Graphics Caliber EDA tools
  6. Proficiency in scripting languages, such as Makefiles, Tcl, Unix Shell, Python
  7. Hands-on experience in writing scripts to improve or develop flow from scratch
  8. Solid engineering understanding of the underlying concepts of IC design, implementation flows, and methodologies for deep submicron design
  9. 10+ years industry experience, BS EE


Preferred Qualifications

  1. Experience in full chip floor planning, partitioning, budgeting, and power grid planning.
  2. Experience with low power implementation, power gating, multiple voltage rails, UPF/CPF knowledge.
  3. Experience in planning, implementing, and analyzing high-speed clock distribution networks. Experience with alternate strategies for clock distribution, including standard trees, mesh, H-Tree, and clock power reduction techniques.
  4. Knowledge of static timing analysis and concepts, defining timing constraints and exceptions, corners/voltage definitions.
  5. Knowledge of Circuit design, device physics, and deep sub-micron technology.
  6. Experience in the physical design of data-path intensive designs.
  7. Experience in the 3D-IC technology, methodology, and advanced packaging.
  8. Experience in validating Power Distribution Network (PDN), IR/EM, Thermals for 3D-IC.

Other Smiliar Jobs
 
  • Fremont, CA
  • 8 Days ago
  • Santa Clara, CA
  • 8 Days ago
  • San Francisco, CA
  • 8 Days ago
  • San Mateo, CA
  • 8 Days ago
  • Santa Rosa, CA
  • 6 Days ago
  • San Jose, CA
  • 6 Days ago
  • Sunnyvale, CA
  • 6 Days ago
  • Sonoma, CA
  • 6 Days ago
  • Alameda, CA
  • 6 Days ago